Winkelmand

Geen producten in de winkelwagen.

ASML werd wereldleider bij toeval

Hoe een klein bedrijf uit een Eindhovens schuurtje de reuzen wist te verslaan. Het bedrijfsverhaal van techbedrijf ASML, met zijn ups en downs.

Foto: MT

Weinig Nederlandse hightechbedrijven zijn zo succesvol als ASML. Maar vrijwel niemand weet dat toeval daarbij een grote rol speelde. We schrijven 2003, als er nog drie grote fabrikanten van lithografiemachines in de wereld over zijn: ASML, Canon en Nikon.  Ze beconcurreren elkaar hard in de race om nóg kleiner, nóg sneller.

Alle drie zijn ze in die race naarstig op zoek naar het antwoord op een vraag die twee jaar eerder door MIT was opgeroepen. Die vraag laat zich het best samenvatten in het woord: immersielithografie. Simpel gezegd: door een plasje water toe te voegen onder de lens, zouden de lijntjes op de wafer, de halfgeleider, nog dichter bij elkaar kunnen worden geëtst. In potentie een grote ontwikkeling, maar de vraag was: is het technisch ook mogelijk? Hoe voeg je water toe in een machine die schoon moet blijven? En hoe hou je dat water op zijn plek als de lens op hoge snelheid onder de lichtbron van de chipmachine doorschiet?

Het geluk aan de zijde

In de jaren 80 was er ook al onderzoek naar gedaan. Dat had niets opgeleverd. Maar dat was 20 jaar geleden. Nu lukte het ASML wel. Als eerste. Een prestatie van formaat, maar voor de verdere uitrol ervan hielp het geluk het bedrijf een handje mee. Een flinke hand, wel te verstaan.

De machines van ASML werden in tegenstelling tot die van concurrenten modulair opgebouwd. Dit gegeven, al jaren oud, kwam nu goed uit. De nieuwe toepassing kon namelijk zo in de bestaande machines van klanten worden gemonteerd. Peperdure nieuwe machines waren niet nodig. Vanaf dat moment nam ASML snel een voorsprong. De overgebleven concurrenten, Nikon en Canon, hadden het nakijken. De chipmachinebouwer uit Veldhoven heeft anno 2016 naar schatting 85 procent van de wereldmarkt in handen.

De geboorte

De doorbraak in immersietechnologie was natuurlijk niet het eerste succes. En het zal hopelijk ook de laatste niet zijn. Het is wel verrassend te noemen dat uitgerekend het Nederlandse ASML, pas in 1984 opgericht, aan het langste eind lijkt te hebben getrokken.

Daar ging natuurlijk wel wat aan vooraf. Al in 1972 had het Natuurkundig Laboratorium van Philips, het destijds wereldberoemde Natlab, een zogeheten wafer stepper gebouwd die niet langer – zoals tot dan toe gebruikelijk – fysiek contact maakte tussen plak en masker, maar dat juist optisch deed.

Een goed idee, maar binnen de industriepolitiek van Philips paste de lithografie destijds niet. Het lukte Philips bovendien lange tijd niet om een co-investeerder vinden om samen de ontwikkeling op te starten. Pas halverwege de jaren 80 werd een partner gevonden die bereid was geld te investeren in een apart op te starten bedrijf: ASMI uit Bilthoven. En zo werd in 1984 ASM Lithography geboren.

Schuurtje in de schaduw

Het werd ook wel eens tijd. Het idee uit 1972 was inmiddels ook door andere bedrijven bedacht. Het Amerikaanse GCA was eind jaren 70 de eerste die een dergelijke stepper commercieel aanbood. De eerste machine die ASML op de markt bracht, de PAS 2000, was toen al geen state-of-the-art meer, maar zelfs al een beetje verouderd.

Hoe kon dat bedrijfje in een houten schuurtje hopen deze complexe markt nog succesvol te betreden? Eigenlijk is daar maar één verklaring voor: omdat dat schuurtje in de schaduw stond van Philips. Niet dat Philips bakken met geld erin wilde stoppen, maar ‘de’ Philips was zeker destijds nog een instituut, een industriële grootmacht.

En dat was een zegen en een vloek tegelijkertijd. Een zegen omdat Philips diepe zakken had en best bereid was te investeren in onderzoek; een vloek omdat de steppers binnen het concern weinig prioriteit hadden. Daarom was ook vanaf de oprichting al gepland dat het nieuwe bedrijf naar de beurs zou gaan.

De beursgang

Die beursgang kwam er uiteindelijk ook, op 16 maart 1995 werd het aandeel genoteerd aan de beurzen van New York en Amsterdam. Dat ging evenwel niet zonder slag of stoot. Er gingen een aantal reddingspogingen en hachelijke avonturen aan vooraf. In 1991 en 1992 stond ASML op de rand van faillissement. Het eerste jaar wist het bedrijf met kunst- en vliegwerk te overleven, dankzij een belangrijke order van IBM. In ’92 moest Philips te hulp schieten met tientallen miljoenen guldens om een aantal maanden salaris en vaste kosten te kunnen overbruggen.

Dat was een klein wonder, want Philips was midden in de roemruchte ‘Operatie Centurion’ en ASML had pas 3 jaar ervoor voor het eerst winst gemaakt. Maar onder de belofte dat het geld binnen een aantal maanden zou terugkomen (wat ook gebeurde), kwam Philips toch met het geld over de brug. Het vooruitzicht dat het bedrijf flink geld zou opstrijken bij een beursgang van ASML speelde daarbij waarschijnlijk een grote rol.

Niet altijd een succesverhaal

Ook na die beursgang was ASML’s levensloop lang niet altijd het succesverhaal dat we nu kennen. Tussen 2000 en 2010 schoot de Nederlandse overheid ASML bijvoorbeeld nog meermaals te hulp. Zo klommen in 2001 enkele ministers in de pen naar hun Amerikaanse collega’s bij de overnamepogingen van de Silicon Valley Group. De Amerikanen, destijds geleid door George W. Bush, vreesden de binnenlandse veiligheid bij zo’n overname.  Of het aan de inspanning van het kabinet te danken is, is onduidelijk, maar uiteindelijk wist ASML het bedrijf overzee in elk geval toch over te nemen. En zo verder uit te groeien tot wereldmarktleider. Oók dankzij latere overnames zoals die van Brion (2007), Cymer (2013) en recent nog Hermes Microvision, een Taiwanese fabrikant van inspectieapparatuur voor chipmachines, die voor 2,75 miljard euro werd aangekocht.

Trage aanpassing is fataal

ASML maakte vanaf 1984 een ontwikkeling door die gedreven werd door de noodzaak om machines te maken die altijd kleinere en efficiëntere chips zouden moeten maken. Falen zou het einde van de onderneming betekenen. Het benodigde kapitaal hiervoor werd in 1995 op de beurs gevonden. Daarmee konden de hoge R&D-kosten worden gedragen die uiteindelijk leidden tot de immersietoepassing. ASML maakte als eerste chipmachinefabrikant de cruciale aanpassing die bovendien in het bestaande systeem paste. Andere fabrikanten liepen achterstand op. In de chipmachine-industrie is zwakte, beter gezegd, te trage aanpassing, fataal.

EUV-technologie cruciaal

ASML’s succesvolle aanpak uit het verleden biedt natuurlijk geen enkele garantie voor de toekomst. Veel hangt af van de verdere ontwikkeling van de zogeheten EUV-technologie (Extreem UltraViolet, licht met een golflengte van 13,5 nm, extreem nauwkeurige ultraviolette lichtbron om een raster op een plak silicium te maken). Het bedrijf werkt hier al sinds 2006 aan, en de potentie is nog steeds groot, maar het lukte in Veldhoven tot nog toe maar moeilijk om er een levensvatbaar businessmodel van te maken.

Tot dit jaar dan, waarin de eerste orders voor de EUV-machines genoteerd konden worden. Ceo Peter Wennink verwacht dat in 2017 en 2018 de grote doorbraak komt en EUV-machines in grotere volumes hun weg naar de markt gaan vinden.

In de tussentijd breidt ASML zijn productiecapaciteit voor het bouwen van de nieuwe machines flink uit. De bouw van een nieuw gedeelte aan de assemblageruimtes in Veldhoven is in volle gang, zodat ASML vanaf volgend jaar tot 24 EUV machines kan bouwen en later, als alles helemaal klaar is, maximaal 60 per jaar. Eén zo’n machine kost al snel 100 miljoen euro, dus reken de verwachte omzet maar uit.

Wekelijks de nieuwsbrief van Management & Leiderschap ontvangen?



Door je in te schrijven ga je akkoord met de algemene en privacyvoorwaarden.

Het toeval mag in 2003 nog zo bepalend geweest zijn voor de toekomst, het bedrijf lijkt er nu kortom alles aan te willen doen om die geluksfactor zoveel mogelijk uit te sluiten.

Pieter van Gent is historicus en mede-eigenaar van PastFuture, bureau voor bedrijfsgeschiedenis. Hij schrijft in MT over veranderingen van bedrijven in het verleden en het belang daarvan in het heden.